Följ
T. N. Vijaykumar
T. N. Vijaykumar
Professor of ECE, Purdue
Verifierad e-postadress på ecn.purdue.edu
Titel
Citeras av
Citeras av
År
Multiscalar processors
GS Sohi, SE Breach, TN Vijaykumar
Proceedings of the 22nd annual international symposium on Computer …, 1995
14111995
Gated-Vdd a circuit technique to reduce leakage in deep-submicron cache memories
M Powell, SH Yang, B Falsafi, K Roy, TN Vijaykumar
Proceedings of the 2000 international symposium on Low power electronics and …, 2000
11382000
Deadline-aware datacenter tcp (d2tcp)
B Vamanan, J Hasan, TN Vijaykumar
ACM SIGCOMM Computer Communication Review 42 (4), 115-126, 2012
7052012
Transient-fault recovery for chip multiprocessors
M Gomaa, C Scarbrough, TN Vijaykumar, I Pomeranz
ACM SIGARCH Computer Architecture News 31 (2), 98-109, 2003
4932003
Gaia:{Geo-Distributed} machine learning approaching {LAN} speeds
K Hsieh, A Harlap, N Vijaykumar, D Konomis, GR Ganger, PB Gibbons, ...
14th USENIX Symposium on Networked Systems Design and Implementation (NSDI …, 2017
4862017
Transient-fault recovery using simultaneous multithreading
TN Vijaykumar, I Pomeranz, K Cheng
ACM SIGARCH Computer Architecture News 30 (2), 87-98, 2002
4502002
Heat-and-run: leveraging SMT and CMP to manage power density through the operating system
M Gomaa, MD Powell, TN Vijaykumar
ACM Sigplan Notices 39 (11), 260-270, 2004
4302004
Optimizing replication, communication, and capacity allocation in CMPs
Z Chishti, MD Powell, TN Vijaykumar
32nd International Symposium on Computer Architecture (ISCA'05), 357-368, 2005
4232005
Speculative versioning cache
S Gopal, TN Vijaykumar, JE Smith, GS Sohi
Proceedings 1998 Fourth International Symposium on High-Performance Computer …, 1998
3961998
Dynamic speculation and synchronization of data dependences
A Moshovos, SE Breach, TN Vijaykumar, GS Sohi
Proceedings of the 24th annual international symposium on Computer …, 1997
3831997
Reducing set-associative cache energy via way-prediction and selective direct-mapping
MD Powell, A Agarwal, TN Vijaykumar, B Falsafi, K Roy
Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture …, 2001
3702001
Tarazu: optimizing mapreduce on heterogeneous clusters
F Ahmad, ST Chakradhar, A Raghunathan, TN Vijaykumar
ACM SIGARCH Computer Architecture News 40 (1), 61-74, 2012
3682012
An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance I-caches
S Yang, MD Powell, B Falsafi, K Roy, TN Vijaykumar
Proceedings HPCA Seventh International Symposium on High-Performance …, 2001
3392001
Transparent offloading and mapping (TOM) enabling programmer-transparent near-data processing in GPU systems
K Hsieh, E Ebrahimi, G Kim, N Chatterjee, M O'Connor, N Vijaykumar, ...
ACM SIGARCH Computer Architecture News 44 (3), 204-216, 2016
3032016
Distance associativity for high-performance energy-efficient non-uniform cache architectures
Z Chishti, MD Powell, TN Vijaykumar
Proceedings. 36th Annual IEEE/ACM International Symposium on …, 2003
2962003
EffiCuts: Optimizing packet classification for memory and throughput
B Vamanan, G Voskuilen, TN Vijaykumar
ACM SIGCOMM Computer Communication Review 40 (4), 207-218, 2010
2732010
Joint optimization of idle and cooling power in data centers while maintaining response time
F Ahmad, TN Vijaykumar
ACM Sigplan Notices 45 (3), 243-256, 2010
2712010
SparTen: A sparse tensor accelerator for convolutional neural networks
A Gondimalla, N Chesnut, M Thottethodi, TN Vijaykumar
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
2462019
Accelerating pointer chasing in 3D-stacked memory: Challenges, mechanisms, evaluation
K Hsieh, S Khan, N Vijaykumar, KK Chang, A Boroumand, S Ghose, ...
2016 IEEE 34th International Conference on Computer Design (ICCD), 25-32, 2016
2422016
Is sc+ ilp= rc?
C Gniady, B Falsafi, TN Vijaykumar
Proceedings of the 26th annual international symposium on Computer …, 1999
2321999
Systemet kan inte utföra åtgärden just nu. Försök igen senare.
Artiklar 1–20