Följ
Sai Manoj P D
Titel
Citeras av
Citeras av
År
Ensemble learning for effective run-time hardware-based malware detection: a comprehensive analysis and classification
H Sayadi, N Patel, SM P D, A Sasan, S Rafatirad, H Homayoun
Design Automation Conference, 1, 2018
1762018
Machine learning for power, energy, and thermal management on multicore processors: A survey
S Pagani, PDS Manoj, A Jantsch, J Henkel
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
992018
2smart: A two-stage machine learning-based approach for run-time specialized hardware-assisted malware detection
H Sayadi, HM Makrani, SMP Dinakarrao, T Mohsenin, A Sasan, ...
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 728-733, 2019
782019
Lightweight node-level malware detection and network-level malware confinement in iot networks
SMP Dinakarrao, H Sayadi, HM Makrani, C Nowzari, S Rafatirad, ...
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 776-781, 2019
672019
Neural network based ECG anomaly detection on FPGA and trade-off analysis
M Wess, PDS Manoj, A Jantsch
2017 IEEE International Symposium on Circuits and Systems (ISCAS), 1-4, 2017
672017
Adversarial attack on microarchitectural events based malware detectors
SMP Dinakarrao, S Amberkar, S Bhat, A Dhavlle, H Sayadi, A Sasan, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
602019
Customized machine learning-based hardware-assisted malware detection in embedded devices
H Sayadi, HM Makrani, O Randive, SM PD, S Rafatirad, H Homayoun
2018 17th IEEE International Conference On Trust, Security And Privacy In …, 2018
602018
Security and complexity analysis of LUT-based obfuscation: From blueprint to reality
G Kolhe, HM Kamali, M Naicker, TD Sheaves, H Mahmoodi, PDS Manoj, ...
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
532019
Reliable 3-D clock-tree synthesis considering nonlinear capacitive TSV model with electrical–thermal–mechanical coupling
MPD Sai, H Yu, Y Shang, CS Tan, SK Lim
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
512013
Comprehensive Assessment of Run-Time Hardware-Supported Malware Detection Using General and Ensemble Learning
H Sayadi, SM P D, A Houmansadr, S Rafatirad, Homayoun
ACM International Conference on Computing Frontiers, 2018
402018
A scalable network-on-chip microprocessor with 2.5 D integrated memory and accelerator
SM PD, J Lin, S Zhu, Y Yin, X Liu, X Huang, C Song, W Zhang, M Yan, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 64 (6), 1432-1443, 2017
402017
Weighted quantization-regularization in DNNs for weight memory minimization toward HW implementation
M Wess, SMP Dinakarrao, A Jantsch
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
372018
Rnn-based classifier to detect stealthy malware using localized features and complex symbolic sequence
S Shukla, G Kolhe, SM PD, S Rafatirad
2019 18th IEEE International Conference On Machine Learning And Applications …, 2019
362019
On custom lut-based obfuscation
G Kolhe, SM PD, S Rafatirad, H Mahmoodi, A Sasan, H Homayoun
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 477-482, 2019
362019
Stealthy malware detection using rnn-based automated localized feature extraction and classifier
S Shukla, G Kolhe, SM PD, S Rafatirad
2019 IEEE 31st international conference on tools with artificial …, 2019
322019
Advances and Throwbacks in Hardware-Assisted Security
F Brasser, L Davi, A Dhavlle, T Frassetto, SMP Dinakarrao, S Rafatirad, ...
International Conference on Compilers, Architectures and Synthesis for …, 2018
32*2018
On-device malware detection using performance-aware and robust collaborative learning
S Shukla, PDS Manoj, G Kolhe, S Rafatirad
2021 58th ACM/IEEE Design Automation Conference (DAC), 967-972, 2021
312021
Cognitive and scalable technique for securing IoT networks against malware epidemics
SMP Dinakarrao, X Guo, H Sayadi, C Nowzari, A Sasan, S Rafatirad, ...
IEEE Access 8, 138508-138528, 2020
282020
A Q-learning based self-adaptive I/O communication for 2.5 D integrated many-core microprocessor and memory
SM PD, H Yu, H Huang, D Xu
IEEE Transactions on Computers 65 (4), 1185-1196, 2015
272015
Indoor wireless localization using consumer-grade 60 GHz equipment with machine learning for intelligent material handling
A Vashist, DR Bhanushali, R Relyea, C Hochgraf, A Ganguly, PDS Manoj, ...
2020 IEEE International Conference on Consumer Electronics (ICCE), 1-6, 2020
252020
Systemet kan inte utföra åtgärden just nu. Försök igen senare.
Artiklar 1–20