Follow
Turbo Majumder
Turbo Majumder
Principal Power and Performance Engineer, Microsoft
Verified email at microsoft.com - Homepage
Title
Cited by
Cited by
Year
A Sub-cm3Energy-Harvesting Stacked Wireless Sensor Node Featuring a Near-Threshold Voltage IA-32 Microcontroller in 14-nm Tri-Gate CMOS for Always-ON …
S Paul, V Honkote, RG Kim, T Majumder, PA Aseron, V Grossnickle, ...
IEEE Journal of Solid-State Circuits 52 (4), 961-971, 2017
622017
Hardware accelerators for biocomputing: A survey
S Sarkar, T Majumder, A Kalyanaraman, PP Pande
Proceedings of 2010 IEEE International Symposium on Circuits and Systems …, 2010
622010
An energy harvesting wireless sensor node for IoT systems featuring a near-threshold voltage IA-32 microcontroller in 14nm tri-gate CMOS
S Paul, V Honkote, R Kim, T Majumder, P Aseron, V Grossnickle, ...
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1-2, 2016
272016
NoC-enabled multicore architectures for stochastic analysis of biomolecular reactions
T Majumder, X Li, P Bogdan, P Pande
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2015
182015
A reconfigurable wireless NoC for large scale microbiome community analysis
X Li, K Duraisamy, J Baylon, T Majumder, G Wei, P Bogdan, D Heo, ...
IEEE Transactions on Computers 66 (10), 1653-1666, 2017
162017
Network-on-chip-enabled multicore platforms for parallel model predictive control
X Li, K Duraisamy, P Bogdan, T Majumder, PP Pande
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (9 …, 2016
162016
NoC-based hardware accelerator for breakpoint phylogeny
T Majumder, S Sarkar, PP Pande, A Kalyanaraman
IEEE Transactions on Computers 61 (6), 857-869, 2011
162011
High-throughput, energy-efficient network-on-chip-based hardware accelerators
T Majumder, PP Pande, A Kalyanaraman
Sustainable Computing: Informatics and Systems 3 (1), 36-46, 2013
152013
On-chip network-enabled multicore platforms targeting maximum likelihood phylogeny reconstruction
T Majumder, ME Borgens, PP Pande, A Kalyanaraman
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2012
142012
Multiple output voltage conversion
V De, K Ravichandran, H Krishnamurthy, K Ahmed, S Vangal, V Vaidya, ...
US Patent 11,411,491, 2022
132022
Wireless NoC platforms with dynamic task allocation for maximum likelihood phylogeny reconstruction
T Majumder, PP Pande, A Kalyanaraman
IEEE Design & Test 31 (3), 54-64, 2013
112013
Hardware accelerators in computational biology: Application, potential, and challenges
T Majumder, PP Pande, A Kalyanaraman
IEEE Design & Test 31 (1), 8-18, 2013
102013
Method and system of event-driven object segmentation for image processing
S Paul, T Majumder, M Elmalaki, M Khellah, C Augustine
US Patent App. 16/565,304, 2020
92020
NoC router using STT-MRAM based hybrid buffers with error correction and limited flit retransmission
T Majumder, M Suri, V Shekhar
2015 IEEE International Symposium on Circuits and Systems (ISCAS), 2305-2308, 2015
92015
A 0.05 pJ/pixel 70fps FHD 1Meps event-driven visual data processing unit
S Paul, T Majumder, C Augustine, AF Malavasi, S Usirikayala, R Kumar, ...
2020 IEEE Symposium on VLSI Circuits, 1-2, 2020
82020
Accelerating maximum likelihood based phylogenetic kernels using network-on-chip
T Majumder, P Pande, A Kalyanaraman
2011 23rd International Symposium on Computer Architecture and High …, 2011
72011
Techniques for multi-read and multi-write of memory circuit
MM Khellah, S Paul, C Augustine, T Majumder, B Suyoung
US Patent 10,755,771, 2020
62020
Method and system of temporal-domain feature extraction for automatic speech recognition
B Suyoung, M Khellah, S Paul, C Augustine, T Majumder, W Lim, ...
US Patent 10,665,222, 2020
52020
Voltage regulator efficiency-aware global-minimum energy tracking
SR Vangal, T Majumder, V De
US Patent 10,739,804, 2020
42020
NoC architectures as enablers of biological discovery for personalized and precision medicine
P Bogdan, T Majumder, A Ramanathan, Y Xue
Proceedings of the 9th International Symposium on Networks-on-Chip, 1-11, 2015
42015
The system can't perform the operation now. Try again later.
Articles 1–20