Följ
Masoud Daneshtalab
Masoud Daneshtalab
Professor, Mälardalen University (Sweden), TalTech (Estonia)
Verifierad e-postadress på mdh.se - Startsida
Titel
Citeras av
Citeras av
År
A review on deep learning methods for ECG arrhythmia classification
Z Ebrahimi, M Loni, M Daneshtalab, A Gharehbaghi
Expert Systems with Applications: X 7, 100033, 2020
3862020
Routing algorithms in networks-on-chip
M Palesi, M Daneshtalab
Springer, 2014
1332014
Smart hill climbing for agile dynamic mapping in many-core systems
M Fattah, M Daneshtalab, P Liljeberg, J Plosila
Proceedings of the 50th Annual Design Automation Conference, 1-6, 2013
1272013
EDXY–A low cost congestion-aware routing algorithm for network-on-chips
P Lotfi-Kamran, AM Rahmani, M Daneshtalab, A Afzali-Kusha, Z Navabi
Journal of Systems Architecture 56 (7), 256-264, 2010
1222010
HARAQ: congestion-aware learning model for highly adaptive routing algorithm in on-chip networks
M Ebrahimi, M Daneshtalab, F Farahnakian, J Plosila, P Liljeberg, ...
2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, 19-26, 2012
1112012
Time-Sensitive Networking in automotive embedded systems: State of the art and research opportunities
M Ashjaei, LL Bello, M Daneshtalab, G Patti, S Saponara, S Mubeen
Journal of systems architecture 117, 102137, 2021
1042021
Path-based partitioning methods for 3D networks-on-chip with minimal adaptive routing
M Ebrahimi, M Daneshtalab, P Liljeberg, J Plosila, J Flich, H Tenhunen
IEEE Transactions on Computers 63 (3), 718-733, 2012
1022012
DeepMaker: A multi-objective optimization framework for deep neural networks in embedded systems
M Loni, S Sinaei, A Zoljodi, M Daneshtalab, M Sjödin
Microprocessors and Microsystems 73, 102989, 2020
992020
CoNA: Dynamic application mapping for congestion reduction in many-core systems
M Fattah, M Ramirez, M Daneshtalab, P Liljeberg, J Plosila
2012 IEEE 30th International Conference on Computer Design (ICCD), 364-370, 2012
852012
CATRA-congestion aware trapezoid-based routing algorithm for on-chip networks
M Ebrahimi, M Daneshtalab, P Liljeberg, J Plosila, H Tenhunen
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 320-325, 2012
812012
Q-learning based congestion-aware routing algorithm for on-chip network
F Farahnakian, M Ebrahimi, M Daneshtalab, P Liljeberg, J Plosila
2011 IEEE 2nd International Conference on Networked Embedded Systems for …, 2011
812011
Fault-tolerant routing algorithm for 3D NoC using hamiltonian path strategy
M Ebrahimi, M Daneshtalab, J Plosila
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2013
782013
BARP-a dynamic routing protocol for balanced distribution of traffic in NoCs
P Lotfi-Kamran, M Daneshtalab, C Lucas, Z Navabi
Proceedings of the conference on Design, automation and test in Europe, 1408 …, 2008
742008
Minimal-path fault-tolerant approach using connection-retaining structure in networks-on-chip
M Ebrahimi, M Daneshtalab, J Plosila, H Tenhunen
2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 1-8, 2013
672013
DyXYZ: Fully adaptive routing algorithm for 3D NoCs
M Ebrahimi, X Chang, M Daneshtalab, J Plosila, P Liljeberg, H Tenhunen
2013 21st Euromicro International Conference on Parallel, Distributed, and …, 2013
672013
MD: minimal path-based fault-tolerant routing in on-chip networks
M Ebrahimi, M Daneshtalab, J Plosila, F Mehdipour
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC), 35-40, 2013
662013
High performance fault-tolerant routing algorithm for NoC-based many-core systems
M Ebrahimi, M Daneshtalab, J Plosila
2013 21st Euromicro International Conference on Parallel, Distributed, and …, 2013
632013
Low-distance path-based multicast routing algorithm for network-on-chips
M Daneshtalab, M Ebrahimi, S Mohammadi, A Afzali-Kusha
IET computers & digital techniques 3 (5), 430-442, 2009
632009
NoC hot spot minimization using AntNet dynamic routing algorithm
M Daneshtalab, A Sobhani, A Afzali-Kusha, O Fatemi, Z Navabi
Application-specific Systems, Architectures and Processors, 2006. ASAP'06 …, 2006
622006
On self-tuning networks-on-chip for dynamic network-flow dominance adaptation
X Wang, M Yang, Y Jiang, P Liu, M Daneshtalab, M Palesi, T Mak
ACM Transactions on Embedded Computing Systems (TECS) 13 (2s), 1-21, 2014
612014
Systemet kan inte utföra åtgärden just nu. Försök igen senare.
Artiklar 1–20