Follow
Hai Li
Hai Li
Other namesHai "Helen" Li
Clare Boothe Luce Professor of Electrical and Computer Engineering
Verified email at duke.edu - Homepage
Title
Cited by
Cited by
Year
Learning structured sparsity in deep neural networks
W Wen, C Wu, Y Wang, Y Chen, H Li
Advances in neural information processing systems 29, 2016
26782016
Terngrad: Ternary gradients to reduce communication in distributed deep learning
W Wen, C Xu, F Yan, C Wu, Y Wang, Y Chen, H Li
Advances in neural information processing systems 30, 2017
10312017
Pipelayer: A pipelined reram-based accelerator for deep learning
L Song, X Qian, H Li, Y Chen
2017 IEEE international symposium on high performance computer architecture …, 2017
8392017
Spintronic memristor through spin-torque-induced magnetization motion
X Wang, Y Chen, H Xi, H Li, D Dimitrov
IEEE electron device letters 30 (3), 294-297, 2009
4532009
Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement
X Dong, X Wu, G Sun, Y Xie, H Li, Y Chen
Proceedings of the 45th annual design automation conference, 554-559, 2008
4232008
Memristor crossbar-based neuromorphic computing system: A case study
M Hu, H Li, Y Chen, Q Wu, GS Rose, RW Linderman
IEEE transactions on neural networks and learning systems 25 (10), 1864-1878, 2014
4082014
Multi retention level STT-RAM cache designs with a dynamic refresh scheme
Z Sun, X Bi, H Li, WF Wong, ZL Ong, X Zhu, W Wu
proceedings of the 44th annual IEEE/ACM international symposium on …, 2011
323*2011
GraphR: Accelerating graph processing using ReRAM
L Song, Y Zhuo, X Qian, H Li, Y Chen
2018 IEEE International Symposium on High Performance Computer Architecture …, 2018
3102018
Faster cnns with direct sparse convolutions and guided pruning
J Park, S Li, W Wen, PTP Tang, H Li, Y Chen, P Dubey
arXiv preprint arXiv:1608.01409, 2016
280*2016
Dpatch: An adversarial patch attack on object detectors
X Liu, H Yang, Z Liu, L Song, H Li, Y Chen
arXiv preprint arXiv:1806.02299, 2018
2672018
Rescuing memristor-based neuromorphic design with high defects
C Liu, M Hu, JP Strachan, H Li
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
2512017
Generative poisoning attack method against neural networks
C Yang, Q Wu, H Li, Y Chen
arXiv preprint arXiv:1703.01340, 2017
2482017
Emerging non-volatile memories: Opportunities and challenges
CJ Xue, Y Zhang, Y Chen, G Sun, JJ Yang, H Li
Proceedings of the seventh IEEE/ACM/IFIP international conference on …, 2011
2412011
DRG-cache: A data retention gated-ground cache for low power
A Agarwal, H Li, K Roy
Proceedings of the 39th annual design automation conference, 473-478, 2002
2292002
Hardware realization of BSB recall function using memristor crossbar arrays
M Hu, H Li, Q Wu, GS Rose
Proceedings of the 49th annual design automation conference, 498-503, 2012
2242012
Vortex: Variation-aware training for memristor X-bar
B Liu, H Li, Y Chen, X Li, Q Wu, T Huang
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
1992015
Neural predictor for neural architecture search
W Wen, H Liu, Y Chen, H Li, G Bender, PJ Kindermans
European conference on computer vision, 660-676, 2020
1922020
RENO: A high-efficient reconfigurable neuromorphic computing accelerator design
X Liu, M Mao, B Liu, H Li, Y Chen, B Li, Y Wang, H Jiang, M Barnell, Q Wu, ...
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
1872015
Feature space perturbations yield more transferable adversarial examples
N Inkawhich, W Wen, HH Li, Y Chen
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern …, 2019
1832019
A Hybrid Solid-State Storage Architecture for the Performance, Energy Consumption, and Lifetime Improvement
YCHL C206. Guangyu Sun, Yongsoo Joo, Yiran Chen, Dimin Niu, Yuan Xie
the 16th International Symposium on High-Performance Computer Architecture …, 2010
177*2010
The system can't perform the operation now. Try again later.
Articles 1–20