Följ
Xin Zheng
Xin Zheng
Ph.D. of Electrical Engineering, Stanford University
Verifierad e-postadress på stanford.edu
Titel
Citeras av
Citeras av
År
A miniature reflective micro-force sensor based on a microfiber coupler
Y Chen, S Yan, X Zheng, F Xu, Y Lu
Optics Express 22 (3), 2443-2450, 2014
652014
Stanford memory trends
HSP Wong, C Ahn, J Cao, HY Chen, SW Fong, Z Jiang, C Neumann, ...
tech. report, 2016
582016
High-Density Multiple Bits-per-Cell 1T4R RRAM Array with Gradual SET/RESET and its Effectiveness for Deep Learning
ER Hsieh, M Giordano, B Hodson, A Levy, SK Osekowsky, RM Radway, ...
2019 IEEE International Electron Devices Meeting (IEDM), 35.6. 1-35.6. 4, 2019
502019
3D Monolithic Stacked 1T1R cells using Monolayer MoS2 FET and hBN RRAM Fabricated at Low (150°C) Temperature
CH Wang, C McClellan, Y Shi, X Zheng, V Chen, M Lanza, E Pop, ...
2018 IEEE International Electron Devices Meeting (IEDM), 22.5. 1-22.5. 4, 2018
442018
RADAR: A Fast and Energy-Efficient Programming Technique for Multiple Bits-Per-Cell RRAM Arrays
BQ Le, A Levy, TF Wu, RM Radway, ER Hsieh, X Zheng, M Nelson, ...
IEEE Transactions on Electron Devices, 2021
342021
Four-Bits-per-Memory One-Transistor-and-Eight-Resistive-Random-Access-Memory (1T8R) Array
ER Hsieh, X Zheng, BQ Le, YC Shih, RM Radway, M Nelson, S Mitra, ...
IEEE Electron Device Letters, 2021
272021
Error-Resilient Analog Image Storage and Compression with Analog-Valued RRAM Arrays: An Adaptive Joint Source-Channel Coding Approach
X Zheng, R Zarcone, D Paiton, J Sohn, W Wan, B Olshausen, HSP Wong
2018 IEEE International Electron Devices Meeting (IEDM), 3.5. 1-3.5. 4, 2018
272018
Microsecond transient thermal behavior of HfOx-based resistive random access memory using a micro thermal stage (MTS)
Z Jiang, Z Wang, X Zheng, S Fong, S Qin, HY Chen, C Ahn, J Cao, Y Nishi, ...
2016 IEEE International Electron Devices Meeting (IEDM), 21.3. 1-21.3. 4, 2016
182016
Ultrafast Accelerated Retention Test Methodology for RRAM Using Micro Thermal Stage
Z Wang, Z Jiang, X Zheng, S Fong, HY Chen, HSP Wong, Y Nishi
IEEE Electron Device Letters 38 (7), 863-866, 2017
102017
Neural network compression for noisy storage devices
B Isik, K Choi, X Zheng, T Weissman, S Ermon, HSP Wong, A Alaghi
ACM Transactions on Embedded Computing Systems 22 (3), 1-29, 2023
82023
High-density Analog Image Storage in an Analog-valued Non-Volatile Memory Array
X Zheng, R Zarcone, A Levy, WS Khwa, P Raina, BA Olshausen, ...
Neuromorphic Computing and Engineering, 2022
52022
Bidirectional Analog Conductance Modulation for RRAM-Based Neural Networks
Z Jiang, Z Wang, X Zheng, SW Fong, S Qin, HY Chen, EC Ahn, J Cao, ...
IEEE Transactions on Electron Devices 67 (11), 4904-4910, 2020
52020
AC stress and electronic effects on SET switching of HfO2 RRAM
JC Liu, B Magyari-Köpe, S Qin, X Zheng, HS Philip Wong, TH Hou
Applied Physics Letters 111 (9), 093502, 2017
22017
Statistical study of RRAM MLC SET variability induced by filament morphology
CW Hsu, X Zheng, Y Wu, TH Hou, HSP Wong
2017 IEEE International Reliability Physics Symposium (IRPS), 5A-3.1-5A-3.5, 2017
22017
On the relation between solar flares and corona mass ejections, from a machine learning view
R Chen, X Zheng
Indoor Target-driven Visual Navigation Using Imitation Learning
X Zheng, Y Guo
Systemet kan inte utföra åtgärden just nu. Försök igen senare.
Artiklar 1–16