Följ
Jishen Zhao
Jishen Zhao
Verifierad e-postadress på ucsd.edu - Startsida
Titel
Citeras av
Citeras av
År
Prime: A novel processing-in-memory architecture for neural network computation in reram-based main memory
P Chi, S Li, C Xu, T Zhang, J Zhao, Y Liu, Y Wang, Y Xie
ACM SIGARCH Computer Architecture News 44 (3), 27-39, 2016
16712016
Basic performance measurements of the intel optane DC persistent memory module
J Izraelevitz, J Yang, L Zhang, J Kim, X Liu, A Memaripour, YJ Soh, ...
arXiv preprint arXiv:1903.05714, 2019
5252019
Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories
S Li, C Xu, Q Zou, J Zhao, Y Lu, Y Xie
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
4812016
Deepinspect: A black-box trojan detection and mitigation framework for deep neural networks.
H Chen, C Fu, J Zhao, F Koushanfar
IJCAI 2 (5), 8, 2019
3352019
Kiln: Closing the performance gap between systems with and without persistence support
J Zhao, S Li, DH Yoon, Y Xie, NP Jouppi
Proceedings of the 46th Annual IEEE/ACM International Symposium on …, 2013
3102013
ThyNVM: Enabling software-transparent crash consistency in persistent memory systems
J Ren, J Zhao, S Khan, J Choi, Y Wu, O Mutlu
Proceedings of the 48th International Symposium on Microarchitecture, 672-685, 2015
2152015
Deepmarks: A secure fingerprinting framework for digital rights management of deep learning models
H Chen, BD Rouhani, C Fu, J Zhao, F Koushanfar
Proceedings of the 2019 on International Conference on Multimedia Retrieval …, 2019
1652019
GraphH: A processing-in-memory architecture for large-scale graph processing
G Dai, T Huang, Y Chi, J Zhao, G Sun, Y Liu, Y Wang, Y Xie, H Yang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
1422018
FIRM: Fair and high-performance memory control for persistent memory systems
J Zhao, O Mutlu, Y Xie
2014 47th Annual IEEE/ACM International Symposium on Microarchitecture, 153-165, 2014
1392014
Processing-in-memory for energy-efficient neural network training: A heterogeneous approach
J Liu, H Zhao, MA Ogleari, D Li, J Zhao
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture …, 2018
1362018
A case for efficient hardware/software cooperative management of storage and memory
J Meza, Y Luo, S Khan, J Zhao, Y Xie, O Mutlu
Carnegie Mellon University, 2013
1152013
Steal but no force: Efficient hardware undo+ redo logging for persistent memory systems
MA Ogleari, EL Miller, J Zhao
2018 IEEE International Symposium on High Performance Computer Architecture …, 2018
1042018
Fabrication cost analysis and cost-aware design space exploration for 3-D ICs
X Dong, J Zhao, Y Xie
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
1042010
PMTest: A fast and flexible testing framework for persistent memory programs
S Liu, Y Wei, J Zhao, A Kolli, S Khan
Proceedings of the Twenty-Fourth International Conference on Architectural …, 2019
992019
Characterizing and modeling non-volatile memory systems
Z Wang, X Liu, J Yang, T Michailidis, S Swanson, J Zhao
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
962020
Optimizing GPU energy efficiency with 3D die-stacking graphics memory and reconfigurable memory interface
J Zhao, G Sun, GH Loh, Y Xie
ACM Transactions on Architecture and Code Optimization (TACO) 10 (4), 1-25, 2013
78*2013
3D-NonFAR: Three-dimensional non-volatile FPGA architecture using phase change memory
Y Chen, J Zhao, Y Xie
Proceedings of the 16th ACM/IEEE international symposium on Low power …, 2010
682010
Coda: An end-to-end neural program decompiler
C Fu, H Chen, H Liu, X Chen, Y Tian, F Koushanfar, J Zhao
Advances in Neural Information Processing Systems 32, 2019
652019
DimNoC: A dim silicon approach towards power-efficient on-chip network
J Zhan, J Ouyang, F Ge, J Zhao, Y Xie
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
552015
DeepAttest: An end-to-end attestation framework for deep neural networks
H Chen, C Fu, BD Rouhani, J Zhao, F Koushanfar
Proceedings of the 46th International Symposium on Computer Architecture …, 2019
542019
Systemet kan inte utföra åtgärden just nu. Försök igen senare.
Artiklar 1–20