Follow
Wonje Choi
Title
Cited by
Cited by
Year
On-chip communication network for efficient training of deep convolutional networks on heterogeneous manycore systems
W Choi, K Duraisamy, RG Kim, JR Doppa, PP Pande, D Marculescu, ...
IEEE Transactions on Computers 67 (5), 672-686, 2017
882017
Imitation learning for dynamic VFI control in large-scale manycore systems
RG Kim, W Choi, Z Chen, JR Doppa, PP Pande, D Marculescu, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (9 …, 2017
732017
Wireless NoC and dynamic VFI codesign: Energy efficiency without performance penalty
RG Kim, W Choi, Z Chen, PP Pande, D Marculescu, R Marculescu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (7 …, 2016
542016
Hybrid network-on-chip architectures for accelerating deep learning kernels on heterogeneous manycore platforms
W Choi, K Duraisamy, RG Kim, JR Doppa, PP Pande, R Marculescu, ...
Proceedings of the international conference on compilers, architectures and …, 2016
502016
Wireless NoC for VFI-enabled multicore chip design: Performance evaluation and design trade-offs
RG Kim, W Choi, G Liu, E Mohandesi, PP Pande, D Marculescu, ...
IEEE Transactions on Computers 65 (4), 1323-1336, 2015
452015
Trading-off accuracy and energy of deep inference on embedded systems: A co-design approach
NK Jayakodi, A Chatterjee, W Choi, JR Doppa, PP Pande
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
392018
Energy efficient MapReduce with VFI-enabled multicore platforms
K Duraisamy, RG Kim, W Choi, G Liu, PP Pande, R Marculescu, ...
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
192015
3D NoC-enabled heterogeneous manycore architectures for accelerating CNN training: Performance and thermal trade-offs
BK Joardar, W Choi, RG Kim, JR Doppa, PP Pande, D Marculescu, ...
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on …, 2017
182017
The (low) power of less wiring: Enabling energy efficiency in many-core platforms through wireless noc
PP Pande, RG Kim, W Choi, Z Chen, D Marculescu, R Marculescu
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 165-169, 2015
92015
VFI-based power management to enhance the lifetime of high-performance 3D NoCs
S Das, D Lee, W Choi, JR Doppa, PP Pande, K Chakrabarty
ACM Transactions on Design Automation of Electronic Systems (TODAES) 23 (1 …, 2017
42017
Improving EDP in wireless NoC-enabled multicore chips via DVFS pruning
W Choi, S Hajiamin, RG Kim, A Rahimi, N Hezarjaribi, PP Pande, ...
2015 IEEE 58th International Midwest Symposium on Circuits and Systems …, 2015
12015
Trading-off Accuracy and Energy of Deep Inference on Embedded Systems: A Co-Design Approach
N Kannappan Jayakodi, A Chatterjee, W Choi, J Rao Doppa, ...
arXiv e-prints, arXiv: 1901.10584, 2019
2019
Machine Learning-inspired High-performance and Energy-efficient Heterogeneous Manycore Chip Design
W Choi
Washington State University, 2018
2018
The system can't perform the operation now. Try again later.
Articles 1–13