Follow
Liang Wang
Liang Wang
Beijing Microelectronics Technology Institute
Verified email at vanderbilt.edu - Homepage
Title
Cited by
Cited by
Year
A robust hardened latch featuring tolerance to double-node-upset in 28nm CMOS for spaceborne application
Y Li, X Cheng, C Tan, J Han, Y Zhao, L Wang, T Li, MB Tahoori, X Zeng
IEEE Transactions on Circuits and Systems II: Express Briefs 67 (9), 1619-1623, 2020
272020
Effects of total-ionizing-dose irradiation on SEU-and SET-induced soft errors in bulk 40-nm sequential circuits
RM Chen, ZJ Diggins, NN Mahatme, L Wang, EX Zhang, YP Chen, YN Liu, ...
IEEE Transactions on Nuclear Science 64 (1), 471-476, 2016
252016
SEU and SET of 65 Bulk CMOS Flip-flops and Their Implications for RHBD
Y Zhao, L Wang, S Yue, D Wang, X Zhao, Y Sun, D Li, F Wang, X Yang, ...
IEEE Transactions on Nuclear Science 62 (6), 2666-2672, 2015
242015
The increased single-event upset sensitivity of 65-nm DICE SRAM induced by total ionizing dose
Q Zheng, J Cui, W Lu, H Guo, J Liu, X Yu, Y Wei, L Wang, J Liu, C He, ...
IEEE Transactions on Nuclear Science 65 (8), 1920-1927, 2018
232018
Low-Overhead SEU-Tolerant Latches
L Wang, S Yue, Y Zhao
Microwave and Millimeter Wave Technology, 2007. ICMMT '07. International …, 2007
182007
Effects of temperature and supply voltage on SEU-and SET-induced errors in bulk 40-nm sequential circuits
RM Chen, ZJ Diggins, NN Mahatme, L Wang, EX Zhang, YP Chen, ...
IEEE Transactions on Nuclear Science 64 (8), 2122-2128, 2017
172017
Single event soft error in advanced integrated circuit
Y Zhao, S Yue, X Zhao, S Lu, Q Bian, L Wang, Y Sun
Journal of Semiconductors 36 (11), 111001, 2015
172015
Numerical and experimental investigation of TID radiation effects on the breakdown voltage of 400-V SOI NLDMOSFETs
L Shu, L Wang, X Zhou, CL Sui, Y Li, B Wang, YF Zhao, KF Galloway
IEEE Transactions on Nuclear Science 66 (4), 710-715, 2019
162019
Comparison of sensitive volumes associated with ion-and laser-induced charge collection in an epitaxial silicon diode
KL Ryder, LD Ryder, AL Sternberg, JA Kozub, EX Zhang, A Khachatrian, ...
IEEE Transactions on Nuclear Science 67 (1), 57-62, 2019
132019
An SEU-Tolerant Programmable Frequency Divider
L Wang, S Yue, Y Zhao, L Fan
Quality Electronic Design, 2007. ISQED '07. 8th International Symposium on, 2007
132007
Radiation hardened 12T SRAM with crossbar-based peripheral circuit in 28nm CMOS technology
Y Han, T Li, X Cheng, L Wang, J Han, Y Zhao, X Zeng
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (7), 2962-2975, 2021
112021
Comparison of single-event transients in an epitaxial silicon diode resulting from heavy-ion-, focused X-ray-, and pulsed laser-induced charge generation
KL Ryder, LD Ryder, AL Sternberg, JA Kozub, EX Zhang, ...
IEEE Transactions on Nuclear Science 68 (5), 626-633, 2021
112021
Effect of drift length on shifts in 400-V SOI LDMOS breakdown voltage due to TID
L Shu, YF Zhao, KF Galloway, L Wang, XS Wang, X Zhou, WP Chen, ...
IEEE Transactions on Nuclear Science 67 (11), 2392-2395, 2020
112020
TID-induced off-state leakage current in partially radiation-hardened SOI LDMOS
L Shu, L Wang, K Zhao, X Zhou, YF Zhao, KF Galloway, CL Sui, CM Liu, ...
IEEE Transactions on Nuclear Science 67 (6), 1133-1138, 2020
112020
Simulation Research on Single Event Burnout Performances of p-GaN Gate HEMTs With 2DEG AlxGa1-xN Channel
S Liu, J Zhang, S Zhao, L Shu, X Song, X Qin, Y Wu, W Zhang, T Li, ...
IEEE Transactions on Electron Devices 69 (3), 973-980, 2022
102022
Total ionizing dose influence on the single-event multiple-cell upsets in 65-nm 6-T SRAM
Q Zheng, J Cui, W Lu, H Guo, J Liu, X Yu, L Wang, J Liu, C He, D Ren, ...
IEEE Transactions on Nuclear Science 66 (6), 892-898, 2018
102018
Impact of temporal masking of flip-flop upsets on soft error rates of sequential circuits
RM Chen, NN Mahatme, ZJ Diggins, L Wang, EX Zhang, YP Chen, YN Liu, ...
IEEE Transactions on Nuclear Science 64 (8), 2098-2106, 2017
102017
Total Ionizing Dose Effects on Ge Channel FETs with Raised Source/Drain
L Wang, EX Zhang, RD Schrimpf, DM Fleetwood, GX Duan, JA Hachtel, ...
IEEE Transactions on Nuclear Science 62 (6), 2412-2416, 2015
102015
Modeling irradiation-induced degradation for 4H-sic power mosfets
S Liang, Y Yang, L Shu, Z Wu, B Chen, H Yu, H Liu, L Wang, T Li, G Deng, ...
IEEE Transactions on Electron Devices 70 (3), 1176-1180, 2023
82023
Single event effect and its hardening technique in nano-scale CMOS integrated circuits
YF Zhao, L Wang, SG Yue, Y Sun, D Wang, L Liu, J Liu, H Wang
Acta Electron. Sin 46 (10), 2511-2518, 2018
82018
The system can't perform the operation now. Try again later.
Articles 1–20