Följ
Lieven Eeckhout
Lieven Eeckhout
Professor of Computer Science and Engineering, Ghent University
Verifierad e-postadress på ugent.be
Titel
Citeras av
Citeras av
År
Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation
TE Carlson, W Heirman, L Eeckhout
Proceedings of 2011 International Conference for High Performance Computing …, 2011
11002011
Statistically rigorous java performance evaluation
A Georges, D Buytaert, L Eeckhout
ACM SIGPLAN Notices 42 (10), 57-76, 2007
7852007
System-level performance metrics for multiprogram workloads
S Eyerman, L Eeckhout
IEEE micro 28 (3), 42-53, 2008
5192008
Scheduling heterogeneous multi-cores through performance impact estimation (PIE)
K Van Craeynest, A Jaleel, L Eeckhout, P Narvaez, J Emer
ACM SIGARCH Computer Architecture News 40 (3), 213-224, 2012
4442012
An evaluation of high-level mechanistic core models
TE Carlson, W Heirman, S Eyerman, I Hur, L Eeckhout
ACM Transactions on Architecture and Code Optimization (TACO) 11 (3), 1-25, 2014
3762014
A performance counter architecture for computing accurate CPI components
S Eyerman, L Eeckhout, T Karkhanis, JE Smith
ACM SIGPLAN Notices 41 (11), 175-184, 2006
2532006
Microarchitecture-independent workload characterization
K Hoste, L Eeckhout
IEEE micro 27 (3), 63-72, 2007
2522007
A mechanistic performance model for superscalar out-of-order processors
S Eyerman, L Eeckhout, T Karkhanis, JE Smith
ACM Transactions on Computer Systems (TOCS) 27 (2), 1-37, 2009
2432009
System-scenario-based design of dynamic embedded systems
SV Gheorghita, M Palkovic, J Hamers, A Vandecappelle, S Mamagkakis, ...
ACM Transactions on Design Automation of Electronic Systems (TODAES) 14 (1 …, 2009
2122009
Cole: compiler optimization level exploration
K Hoste, L Eeckhout
Proceedings of the 6th annual IEEE/ACM international symposium on Code …, 2008
2082008
Measuring program similarity: Experiments with SPEC CPU benchmark suites
A Phansalkar, A Joshi, L Eeckhout, LK John
IEEE International Symposium on Performance Analysis of Systems and Software …, 2005
2082005
Modeling critical sections in Amdahl's law and its implications for multicore design
S Eyerman, L Eeckhout
Proceedings of the 37th annual international symposium on Computer …, 2010
1932010
Performance prediction based on inherent program similarity
K Hoste, A Phansalkar, L Eeckhout, A Georges, LK John, K De Bosschere
Proceedings of the 15th international conference on Parallel architectures …, 2006
1892006
Interval simulation: Raising the level of abstraction in architectural simulation
D Genbrugge, S Eyerman, L Eeckhout
HPCA-16 2010 The Sixteenth International Symposium on High-Performance …, 2010
1882010
Measuring benchmark similarity using inherent program characteristics
A Joshi, A Phansalkar, L Eeckhout, LK John
IEEE Transactions on Computers 55 (6), 769-782, 2006
1682006
Quantifying the impact of input data sets on program behavior and its applications
L Eeckhout, H Vandierendonck, K De Bosschere
Journal of Instruction-Level Parallelism 5 (1), 1-33, 2003
1672003
Fine-grained DVFS using on-chip regulators
S Eyerman, L Eeckhout
ACM Transactions on Architecture and Code Optimization (TACO) 8 (1), 1-24, 2011
1612011
Control flow modeling in statistical simulation for accurate and efficient processor design studies
L Eeckhout, RH Bell Jr, B Stougie, K De Bosschere, LK John
ACM SIGARCH Computer Architecture News 32 (2), 350, 2004
1582004
Workload design: Selecting representative program-input pairs
L Eeckhout, H Vandierendonck, K De Bosschere
Proceedings. International Conference on Parallel Architectures and …, 2002
1552002
Statistical simulation: Adding efficiency to the computer designer's toolbox
L Eeckhout, S Nussbaum, JE Smith, K De Bosschere
Ieee Micro 23 (5), 26-38, 2003
1502003
Systemet kan inte utföra åtgärden just nu. Försök igen senare.
Artiklar 1–20