Follow
Jieru Zhao
Title
Cited by
Cited by
Year
COMBA: A comprehensive model-based analysis framework for high level synthesis of real applications
J Zhao, L Feng, S Sinha, W Zhang, Y Liang, B He
ICCAD 2017 Best Paper: 2017 IEEE/ACM International Conference on Computer …, 2017
1372017
Performance modeling and directives optimization for high-level synthesis on FPGA
J Zhao, L Feng, S Sinha, W Zhang, Y Liang, B He
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
552020
Machine learning based routing congestion prediction in FPGA high-level synthesis
J Zhao, T Liang, S Sinha, W Zhang
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2019
552019
Enable simultaneous dnn services based on deterministic operator overlap and precise latency prediction
W Cui, H Zhao, Q Chen, N Zheng, J Leng, J Zhao, Z Song, T Ma, Y Yang, ...
Proceedings of the International Conference for High Performance Computing …, 2021
402021
HL-Pow: A learning-based power modeling framework for high-level synthesis
Z Lin, J Zhao, S Sinha, W Zhang
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 574-580, 2020
252020
FP-Stereo: Hardware-efficient stereo vision for embedded applications
J Zhao, T Liang, L Feng, W Ding, S Sinha, W Zhang, S Shen
2020 30th International Conference on Field-Programmable Logic and …, 2020
192020
Hi-ClockFlow: Multi-clock dataflow automation and throughput optimization in high-level synthesis
T Liang, J Zhao, L Feng, S Sinha, W Zhang
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-6, 2019
152019
SALO: an efficient spatial accelerator enabling hybrid sparse attention mechanisms for long sequences
G Shen, J Zhao, Q Chen, J Leng, C Li, M Guo
Proceedings of the 59th ACM/IEEE Design Automation Conference, 571-576, 2022
142022
Hi-DMM: High-performance dynamic memory management in high-level synthesis
T Liang, J Zhao, L Feng, S Sinha, W Zhang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
122018
Powergear: Early-stage power estimation in FPGA HLS via heterogeneous edge-centric GNNs
Z Lin, Z Yuan, J Zhao, W Zhang, H Wang, Y Tian
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2022
112022
AMF-placer: High-performance analytical mixed-size placer for FPGA
T Liang, G Chen, J Zhao, S Sinha, W Zhang
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
102021
Exploiting intra-sm parallelism in gpus via persistent and elastic blocks
H Zhao, W Cui, Q Chen, J Zhao, J Leng, M Guo
2021 IEEE 39th International Conference on Computer Design (ICCD), 290-298, 2021
102021
Characterizing and orchestrating VM reservation in geo-distributed clouds to improve the resource efficiency
J Shi, K Fu, Q Chen, C Yang, P Huang, M Zhou, J Zhao, C Chen, M Guo
Proceedings of the 13th Symposium on Cloud Computing, 94-109, 2022
82022
LAMA: Link-aware hybrid management for memory accesses in emerging CPU-FPGA platforms
L Feng, J Zhao, T Liang, S Sinha, W Zhang
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
52019
FPGA sharing in the cloud: a comprehensive analysis
J Guo, L Zhang, J Romero Hung, C Li, J Zhao, M Guo
Frontiers of Computer Science 17 (5), 175106, 2023
42023
AMF-Placer 2.0: Open source timing-driven analytical mixed-size placer for large-scale heterogeneous FPGA
T Liang, G Chen, J Zhao, S Sinha, W Zhang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2024
32024
Skadi: Building a distributed runtime for data systems in disaggregated data centers
C Hu, C Wang, S Wang, N Sun, Y Bao, J Zhao, S Kashyap, P Zuo, X Chen, ...
Proceedings of the 19th Workshop on Hot Topics in Operating Systems, 94-102, 2023
22023
Pac: Preference-aware co-location scheduling on heterogeneous numa architectures to improve resource utilization
P Pang, Y Li, B Liu, Q Chen, Z Yu, Z Yu, D Zeng, J Leng, J Zhao, M Guo
Proceedings of the 37th International Conference on Supercomputing, 75-86, 2023
22023
FlowMap: Path Generation for Automated Vehicles in Open Space Using Traffic Flow
W Ding, J Zhao, Y Chu, H Huang, T Qin, C Xu, Y Guan, Z Gan
2023 IEEE International Conference on Robotics and Automation (ICRA), 1616-1622, 2023
22023
Hl-pow: learning-assisted pre-RTL power modeling and optimization for FPGA HLS
Z Lin, T Liang, J Zhao, S Sinha, W Zhang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023
22023
The system can't perform the operation now. Try again later.
Articles 1–20