Följ
Natarajan Viswanathan
Natarajan Viswanathan
Software Architect, Cadence Design Systems
Verifierad e-postadress på cadence.com
Titel
Citeras av
Citeras av
År
FastPlace: Efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model
N Viswanathan, CCN Chu
IEEE Transactions Computer-Aided Design of Integrated Circuits and Systems …, 2005
3732005
An efficient and effective detailed placement algorithm
M Pan, N Viswanathan, C Chu
2005 IEEE/ACM International Conference on Computer-Aided Design, 48-55, 2005
2292005
Fastplace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control
N Viswanathan, M Pan, C Chu
2007 Asia and South Pacific Design Automation Conference, 135-140, 2007
2242007
The DAC 2012 Routability-driven placement contest and benchmark suite
N Viswanathan, C Alpert, C Sze, Z Li, Y Wei
49th ACM/EDAC/IEEE Design Automation Conference, 2012, 774-782, 2012
1192012
The ISPD-2011 routability-driven placement contest and benchmark suite
N Viswanathan, CJ Alpert, C Sze, Z Li, GJ Nam, JA Roy
2011 ACM International Symposium on Physical Design, 141-146, 2011
1182011
GLARE: Global and local wiring aware routability evaluation
Y Wei, C Sze, N Viswanathan, Z Li, CJ Alpert, L Reddy, AD Huber, ...
49th ACM/EDAC/IEEE Design Automation Conference, 2012, 768-773, 2012
1012012
New placement prediction and mitigation techniques for local routing congestion
T Taghavi, Z Li, C Alpert, GJ Nam, A Huber, S Ramji, L Reddy, J Roy, ...
2010 IEEE/ACM International Conference on Computer-Aided Design, 621-624, 2010
1002010
MAPLE: Multilevel Adaptive PLacEment for Mixed-Size Designs
MC Kim, N Viswanathan, CJ Alpert, IL Markov, S Ramji
2012 ACM International Symposium on Physical Design, 193-200, 2012
992012
ICCAD-2015 CAD Contest in Incremental Timing-driven Placement and Benchmark Suite
MC Kim, J Hu, J Li, N Viswanathan
Proceedings of the 2015 IEEE/ACM International Conference on Computer-Aided …, 2015
892015
ICCAD-2014 CAD contest in incremental timing-driven placement and benchmark suite
MC Kim, J Hu, N Viswanathan
Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided …, 2014
892014
RQL: Global placement via relaxed quadratic spreading and linearization
N Viswanathan, GJ Nam, CJ Alpert, P Villarrubia, H Ren, C Chu
44th ACM/IEEE Design Automation Conference, 2007, 453-458, 2007
892007
MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes
Y Lin, B Yu, X Xu, JR Gao, N Viswanathan, WH Liu, Z Li, CJ Alpert, ...
Computer-Aided Design (ICCAD), 2016 IEEE/ACM International Conference on, 1-8, 2016
752016
CRISP: Congestion reduction by iterated spreading during placement
JA Roy, N Viswanathan, GJ Nam, CJ Alpert, IL Markov
2009 IEEE/ACM International Conference on Computer-Aided Design, 357-362, 2009
612009
Physical Synthesis with Clock-network Optimization for Large Systems on Chips
D Papa, N Viswanathan, C Sze, Z Li, G Nam, C Alpert, I Markov
IEEE Micro, 31 (4), 51-62, 2011
512011
Handling complexities in modern large-scale mixed-size placement
JZ Yan, N Viswanathan, C Chu
46th ACM/IEEE Design Automation Conference, 2009, 436-441, 2009
512009
ICCAD-2012 CAD contest in design hierarchy aware routability-driven placement and benchmark suite
N Viswanathan, C Alpert, C Sze, Z Li, Y Wei
2012 IEEE/ACM International Conference on Computer-Aided Design, 345-348, 2012
482012
Multi-patterning lithography aware cell placement in integrated circuit design
KB Agarwal, CJ Alpert, Z Li, GJ Nam, N Viswanathan
US Patent 8,495,548, 2013
472013
Placement: Hot or Not?
C Alpert, Z Li, GJ Nam, CN Sze, N Viswanathan, SI Ward
2012 IEEE/ACM International Conference on Computer-Aided Design, 283-290, 2012
382012
ITOP: Integrating timing optimization within placement
N Viswanathan, GJ Nam, JA Roy, Z Li, CJ Alpert, S Ramji, C Chu
2010 ACM International Symposium on Physical Design, 83-90, 2010
372010
Legalization of VLSI circuit placement with blockages using hierarchical row slicing
CJ Alpert, MW Dotson, GJ Nam, S Ramji, N Viswanathan
US Patent 7,934,188, 2011
332011
Systemet kan inte utföra åtgärden just nu. Försök igen senare.
Artiklar 1–20