Följ
Juha Plosila
Titel
Citeras av
Citeras av
År
Timepix3: a 65K channel hybrid pixel readout chip with simultaneous ToA/ToT and sparse readout
T Poikela, J Plosila, T Westerlund, M Campbell, M De Gaspari, X Llopart, ...
Journal of instrumentation 9 (05), C05013, 2014
5722014
Using ant colony system to consolidate VMs for green cloud computing
F Farahnakian, A Ashraf, T Pahikkala, P Liljeberg, J Plosila, I Porres, ...
IEEE transactions on services computing 8 (2), 187-198, 2014
4072014
Swarms of unmanned aerial vehicles—a survey
A Tahir, J Böling, MH Haghbayan, HT Toivonen, J Plosila
Journal of Industrial Information Integration 16, 100106, 2019
3142019
Network on chip routing algorithms
V Rantala, T Lehtonen, J Plosila
Turku Centre for Computer Science, 2006
2452006
LiRCUP: Linear regression based CPU usage prediction algorithm for live migration of virtual machines in data centers
F Farahnakian, P Liljeberg, J Plosila
2013 39th Euromicro conference on software engineering and advanced …, 2013
2362013
Unmanned aerial vehicles (uavs): Collision avoidance systems and approaches
JN Yasin, SAS Mohamed, MH Haghbayan, J Heikkonen, H Tenhunen, ...
IEEE access 8, 105139-105155, 2020
2212020
A survey on odometry for autonomous navigation systems
SAS Mohamed, MH Haghbayan, T Westerlund, J Heikkonen, H Tenhunen, ...
IEEE access 7, 97466-97486, 2019
2112019
Energy-efficient virtual machines consolidation in cloud data centers using reinforcement learning
F Farahnakian, P Liljeberg, J Plosila
2014 22nd Euromicro International Conference on Parallel, Distributed, and …, 2014
1902014
Energy-aware VM consolidation in cloud data centers using utilization prediction model
F Farahnakian, T Pahikkala, P Liljeberg, J Plosila, NT Hieu, H Tenhunen
IEEE Transactions on Cloud Computing 7 (2), 524-536, 2016
1892016
Online reconfigurable self-timed links for fault tolerant NoC
T Lehtonen, P Liljeberg, J Plosila
VLSI design 2007, 2007
1602007
Utilization prediction aware VM consolidation approach for green cloud computing
F Farahnakian, T Pahikkala, P Liljeberg, J Plosila, H Tenhunen
2015 IEEE 8th International Conference on Cloud Computing, 381-388, 2015
1272015
Smart hill climbing for agile dynamic mapping in many-core systems
M Fattah, M Daneshtalab, P Liljeberg, J Plosila
Proceedings of the 50th Annual Design Automation Conference, 1-6, 2013
1272013
Energy aware consolidation algorithm based on k-nearest neighbor regression for cloud data centers
F Farahnakian, T Pahikkala, P Liljeberg, J Plosila
2013 IEEE/ACM 6th International Conference on Utility and Cloud Computing …, 2013
1142013
HARAQ: congestion-aware learning model for highly adaptive routing algorithm in on-chip networks
M Ebrahimi, M Daneshtalab, F Farahnakian, J Plosila, P Liljeberg, ...
2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, 19-26, 2012
1112012
Self-adaptive system for addressing permanent errors in on-chip interconnects
T Lehtonen, D Wolpert, P Liljeberg, J Plosila, P Ampadu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 18 (4), 527-540, 2009
1062009
Path-based partitioning methods for 3D networks-on-chip with minimal adaptive routing
M Ebrahimi, M Daneshtalab, P Liljeberg, J Plosila, J Flich, H Tenhunen
IEEE Transactions on Computers 63 (3), 718-733, 2012
1022012
An intrusion detection system for fog computing and IoT based logistic systems using a smart data approach
F Hosseinpour, P Vahdani Amoli, J Plosila, T Hämäläinen, H Tenhunen
International Journal of Digital Content Technology and its Applications 10 (5), 2016
942016
CoNA: Dynamic application mapping for congestion reduction in many-core systems
M Fattah, M Ramirez, M Daneshtalab, P Liljeberg, J Plosila
2012 IEEE 30th International Conference on Computer Design (ICCD), 364-370, 2012
852012
CATRA-congestion aware trapezoid-based routing algorithm for on-chip networks
M Ebrahimi, M Daneshtalab, P Liljeberg, J Plosila, H Tenhunen
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 320-325, 2012
812012
Q-learning based congestion-aware routing algorithm for on-chip network
F Farahnakian, M Ebrahimi, M Daneshtalab, P Liljeberg, J Plosila
2011 IEEE 2nd International Conference on Networked Embedded Systems for …, 2011
812011
Systemet kan inte utföra åtgärden just nu. Försök igen senare.
Artiklar 1–20