Följ
Zhiyao Xie
Titel
Citeras av
Citeras av
År
RouteNet: Routability Prediction for Mixed-size Designs Using Convolutional Neural Network
Z Xie, YH Huang, GQ Fang, H Ren, SY Fang, Y Chen, J Hu
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
1802018
PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network
Z Xie, H Ren, B Khailany, Y Sheng, S Santosh, J Hu, Y Chen
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 13-18, 2020
692020
Routability-Driven Macro Placement with Embedded CNN-based Prediction Model
YH Huang, Z Xie, GQ Fang, TC Yu, H Ren, SY Fang, Y Chen, J Hu
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 180-185, 2019
472019
Net2: A graph attention network method customized for pre-placement net length estimation
Z Xie, R Liang, X Xu, J Hu, Y Duan, Y Chen
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
372021
FIST: A Feature-Importance Sampling and Tree-based Method for Automatic Design Flow Parameter Tuning
Z Xie, GQ Fang, YH Huang, H Ren, Y Zhang, B Khailany, SY Fang, J Hu, ...
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 19-25, 2020
352020
Routing-Free Crosstalk Prediction
R Liang, Z Xie, J Jung, V Chauha, Y Chen, J Hu, H Xiang, GJ Nam
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2020
342020
APOLLO: An automated power modeling framework for runtime power introspection in high-volume commercial microprocessors
Z Xie, X Xu, M Walker, J Knebel, K Palaniswamy, N Hebert, J Hu, H Yang, ...
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
282021
Fast IR Drop Estimation with Machine Learning
Z Xie, H Li, X Xu, J Hu, Y Chen
2020 International Conference on Computer-Aided Design (ICCAD), 1-8, 2020
232020
Automatic Routability Predictor Development Using Neural Architecture Search
CC Chang, J Pan, T Zhang, Z Xie, J Hu, W Qi, CW Lin, R Liang, J Mitra, ...
2021 IEEE/ACM International Conference on Computer-Aided Design, 2021
192021
Preplacement net length and timing estimation by customized graph neural network
Z Xie, R Liang, X Xu, J Hu, CC Chang, J Pan, Y Chen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
152022
RTLLM: An Open-Source Benchmark for Design RTL Generation with Large Language Model
Y Lu, S Liu, Q Zhang, Z Xie
2024 Asia and South Pacific Design Automation Conference (ASP-DAC), 2024
122024
Towards Collaborative Intelligence: Routability Estimation based on Decentralized Private Data
J Pan, CC Chang, Z Xie, A Li, M Tang, T Zhang, J Hu, Y Chen
2022 IEEE/ACM Design Automation Conference (DAC), 2022
92022
Rtlcoder: Outperforming gpt-3.5 in design rtl generation with our open-source dataset and lightweight solution
S Liu, W Fang, Y Lu, Q Zhang, H Zhang, Z Xie
arXiv preprint arXiv:2312.08617, 2023
72023
DEEP: Developing Extremely Efficient Runtime On-Chip Power Meters
Z Xie, S Li, M Ma, CC Chang, J Pan, Y Chen, J Hu
2022 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022
62022
IR Drop Prediction with Maximum Convolutional Neural Network
Z Xie, H Ren, B Khailany, S Ye
US Patent App. 15/929,242, 2020
62020
Rethink before Releasing your Model: ML Model Extraction Attack in EDA
CC Chang, J Pan, Z Xie, J Hu, Y Chen
2023 Asia and South Pacific Design Automation Conference (ASP-DAC), 2023
42023
Specllm: Exploring generation and review of vlsi design specification with large language model
M Li, W Fang, Q Zhang, Z Xie
arXiv preprint arXiv:2401.13266, 2024
22024
PANDA: Architecture-level power evaluation by unifying analytical and machine learning solutions
Q Zhang, S Li, G Zhou, J Pan, CC Chang, Y Chen, Z Xie
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 01-09, 2023
22023
FADO: Floorplan-Aware Directive Optimization for High-Level Synthesis Designs on Multi-Die FPGAs
L Du, T Liang, S Sinha, Z Xie, W Zhang
2023 International Symposium on Field-Programmable Gate Arrays (FPGA), 2023
22023
Robustify ML-Based Lithography Hotspot Detectors
J Pan, CC Chang, Z Xie, J Hu, Y Chen
2022 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022
22022
Systemet kan inte utföra åtgärden just nu. Försök igen senare.
Artiklar 1–20