Följ
Yajun Ha
Yajun Ha
Professor, School of Information Science & Technology, ShanghaiTech University, China
Verifierad e-postadress på shanghaitech.edu.cn - Startsida
Titel
Citeras av
Citeras av
År
Interference-minimized multipath routing with congestion control in wireless sensor network for high-rate streaming
JY Teo, Y Ha, CK Tham
IEEE Transactions on Mobile Computing 7 (9), 1124-1137, 2008
2382008
An ultra-low-energy multi-standard JPEG co-processor in 65 nm CMOS with sub/near threshold supply voltage
Y Pu, JP de Gyvez, H Corporaal, Y Ha
IEEE Journal of Solid-State Circuits 45 (3), 668-680, 2010
1142010
FPGA-based 40.9-Gbits/s masked AES with area optimization for storage area network
Y Wang, Y Ha
IEEE Transactions on Circuits and Systems II: Express Briefs 60 (1), 36-40, 2013
1112013
A low active leakage and high reliability phase change memory (PCM) based non-volatile FPGA storage element
K Huang, Y Ha, R Zhao, A Kumar, Y Lian
IEEE Transactions on Circuits and Systems I: Regular Papers 61 (9), 2605-2613, 2014
862014
Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA
A Kumar, S Fernando, Y Ha, B Mesman, H Corporaal
ACM Transactions on Design Automation of Electronic Systems (TODAES) 13 (3 …, 2008
862008
A 65-nm 25.1-ns 30.7-fJ robust subthreshold level shifter with wide conversion range
W Zhao, AB Alvarez, Y Ha
IEEE Transactions on Circuits and Systems II: Express Briefs 62 (7), 671-675, 2015
852015
An ultra-low-energy/frame multi-standard JPEG co-processor in 65nm CMOS with sub/near-threshold power supply
Y Pu, JP de Gyvez, H Corporaal, Y Ha
2009 IEEE International Solid-State Circuits Conference-Digest of Technical …, 2009
742009
Generalized hyperbolic CORDIC and its logarithmic and exponential computation with arbitrary fixed base
Y Luo, Y Wang, Y Ha, Z Wang, S Chen, H Pan
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (9 …, 2019
452019
AES architectures for minimum-energy operation and silicon demonstration in 65nm with lowest energy per encryption
W Zhao, Y Ha, M Alioto
2015 IEEE International Symposium on Circuits and Systems (ISCAS), 2349-2352, 2015
402015
Novel self-body-biasing and statistical design for near-threshold circuits with ultra energy-efficient AES as case study
W Zhao, Y Ha, M Alioto
IEEE transactions on very large scale integration (VLSI) systems 23 (8 …, 2014
402014
Analyzing composability of applications on MPSoC platforms
A Kumar, B Mesman, B Theelen, H Corporaal, Y Ha
Journal of Systems Architecture 54 (3-4), 369-383, 2008
402008
PLAC: Piecewise linear approximation computation for all nonlinear unary functions
H Dong, M Wang, Y Luo, M Zheng, M An, Y Ha, H Pan
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (9 …, 2020
392020
A universal method of linear approximation with controllable error for the efficient implementation of transcendental functions
H Sun, Y Luo, Y Ha, Y Shi, Y Gao, Q Shen, H Pan
IEEE Transactions on Circuits and Systems I: Regular Papers 67 (1), 177-188, 2019
362019
Virtual hardware machine, methods, and devices
Y Ha, P Schaumont, S Vernalde, M Engels
US Patent 7,150,011, 2006
332006
An area-efficient dynamically reconfigurable spatial division multiplexing network-on-chip with static throughput guarantee
ZJ Yang, A Kumar, Y Ha
2010 International Conference on Field-Programmable Technology, 389-392, 2010
322010
Analysis and optimization strategies toward reliable and high-speed 6T compute SRAM
J Chen, W Zhao, Y Wang, Y Ha
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (4), 1520-1531, 2021
312021
Dynamic scheduling of imprecise-computation tasks in maximizing QoS under energy constraints for embedded systems
H Yu, B Veeravalli, Y Ha
2008 Asia and South Pacific Design Automation Conference, 452-455, 2008
312008
A heterogeneous platform with GPU and FPGA for power efficient high performance computing
Q Wu, Y Ha, A Kumar, S Luo, A Li, S Mohamed
2014 international symposium on integrated circuits (ISIC), 220-223, 2014
302014
Improved chaff point generation for vault scheme in bio‐cryptosystems
TH Nguyen, Y Wang, Y Ha, R Li
IET biometrics 2 (2), 48-55, 2013
302013
Communication-aware application mapping and scheduling for NoC-based MPSoCs
H Yu, Y Ha, B Veeravalli
Proceedings of 2010 IEEE International Symposium on Circuits and Systems …, 2010
302010
Systemet kan inte utföra åtgärden just nu. Försök igen senare.
Artiklar 1–20