Follow
Jun Yang
Jun Yang
Professor, Electrical and Computer Engineering, University of Pittsburgh
Verified email at pitt.edu
Title
Cited by
Cited by
Year
A durable and energy efficient main memory using phase change memory technology
P Zhou, B Zhao, J Yang, Y Zhang
ACM SIGARCH computer architecture news 37 (3), 14-23, 2009
12092009
Phase-change technology and the future of main memory
BC Lee, P Zhou, J Yang, Y Zhang, B Zhao, E Ipek, O Mutlu, D Burger
IEEE micro 30 (1), 143-143, 2010
5762010
Energy reduction for STT-RAM using early write termination
P Zhou, B Zhao, J Yang, Y Zhang
2009 IEEE/ACM International Conference on Computer-Aided Design-Digest of …, 2009
4222009
Frequent value compression in data caches
J Yang, Y Zhang, R Gupta
Proceedings of the 33rd annual ACM/IEEE international symposium on …, 2000
2882000
Frequent value locality and value-centric data cache design
Y Zhang, J Yang, R Gupta
ACM SIGOPS Operating Systems Review 34 (5), 150-159, 2000
2492000
Fast secure processor for inhibiting software piracy and tampering
J Yang, Y Zhang, L Gao
Proceedings of the 36th annual IEEE/ACM International Symposium on …, 2003
2262003
Improving write operations in MLC phase change memory
L Jiang, B Zhao, Y Zhang, J Yang, BR Childers
High Performance Computer Architecture (HPCA), 2012 IEEE 18th International …, 2012
2192012
Simultaneous Multikernel GPU: Multi-tasking Throughput Processors via Fine-Grained Sharing
Z Wang, J Yang, R Melhem, B Childers, Y Zhang, M Guo
High Performance Computer Architecture, 2016
1852016
Dynamic thermal management through task scheduling
J Yang, X Zhou, M Chrobak, Y Zhang, L Jin
Performance Analysis of Systems and software, 2008. ISPASS 2008. IEEE …, 2008
1802008
A Way-Halting Cache for Low-Energy High-Performance Systems
C Zhang, F Vahid, J Yang, W Najjar
IEEE Computer Architecture Letters 2 (1), 2003
1602003
A way-halting cache for low-energy high-performance systems
C Zhang, F Vahid, J Yang, W Najjar
ACM Transactions on Architecture and Code Optimization (TACO) 2 (1), 34-54, 2005
1532005
A way-halting cache for low-energy high-performance systems
C Zhang, F Vahid, J Yang, W Najjar
Proceedings of the 2004 international symposium on Low power electronics and …, 2004
1532004
Energy efficient frequent value data cache design
J Yang, R Gupta
Microarchitecture, 2002.(MICRO-35). Proceedings. 35th Annual IEEE/ACM …, 2002
1372002
Dracc: a dram based accelerator for accurate cnn inference
Q Deng, L Jiang, Y Zhang, M Zhang, J Yang
Proceedings of the 55th Annual Design Automation Conference, 168, 2018
1362018
Improving memory encryption performance in secure processors
J Yang, L Gao, Y Zhang
IEEE Transactions on Computers 54 (5), 630-640, 2005
1322005
Thermal-aware task scheduling for 3D multicore processors
X Zhou, J Yang, Y Xu, Y Zhang, J Zhao
IEEE Transactions on Parallel and Distributed Systems 21 (1), 60-71, 2010
1312010
Frequent value locality and its applications
J Yang, R Gupta
ACM Transactions on Embedded Computing Systems (TECS) 1 (1), 79-105, 2002
1302002
A low-radix and low-diameter 3D interconnection network design
Y Xu, Y Du, B Zhao, X Zhou, Y Zhang, J Yang
High Performance Computer Architecture, 2009. HPCA 2009. IEEE 15th …, 2009
1152009
Frequent value encoding for low power data buses
J Yang, R Gupta, C Zhang
ACM Transactions on Design Automation of Electronic Systems (TODAES) 9 (3 …, 2004
992004
Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors
L Jiang, B Zhao, Y Zhang, J Yang
Design Automation Conference (DAC), 2012 49th ACM/EDAC/IEEE, 907-912, 2012
952012
The system can't perform the operation now. Try again later.
Articles 1–20